Open Access Open Access  Restricted Access Subscription or Fee Access

Low Power, High Speed and High Density Magnetic Comparator using Spintronic Devices

Yogendra Kumar Upadhyaya, Mohd. Hasan, Sudhanshu Maheshwari

Abstract


Energy efficient design is of paramount importance in the present era. Dynamic magnetic comparators are used to design high speed and low power ADCs (Analog to digital converters). This paper presents a novel conventional MTJ and motion of domains in a magnetic strip based magnetic double tail comparator, which is area and power proficient, compared to other comparators. The proposed magnetic double tail comparator consumes 15.225 µW power and 0.6369 fJ energy at a supply voltage of 0.75 V. SPICE compatible Verilog-A models are used for the MTJ and domain wall motion based magnetic strip. The performance of the proposed hybrid magnetic comparator has been evaluated in terms of delay and power using these models along with PTM CMOS 45 nm model. The proposed comparator can operate at a clock frequency from 7.8 to 2.4 GHz at source voltages of 0.6 and 0.5 V respectively.

 

Keywords: Double-tail comparator, domain wall motion, MTJ, spintronics, comparator

 


Full Text:

PDF

References


Upadhyaya YK, Gupta MK, Hasan M, et al. High-Density Magnetic Flash ADC Using Domain-Wall Motion and Pre-Charge Sense Amplifiers. IEEE Trans Magn. Jun 2016; 52(6): 1–10p.

Goll B, Zimmermann H. A Comparator with Reduced Delay Time in 65-nm CMOS for Supply Voltages Down to 0.65. IEEE Trans Circuits Syst II Exp. Nov 2009; 56(11): 810–814p.

Razavi B, Woody BA. Design Techniques for High-Speed, High-Resolution Comparators. IEEE J Solid-State Circuits. Dec 1992; 27(12): 1916–26p.

Goll B, Zimmermann H. Low-Power 600MHz Comparator for 0.5 V Supply Voltage in 0.12 μm CMOS. IEEE Electron Lett Exp. Mar 2007; 43(7): 388–390p.

Gupta MK, Hasan M. Design of High-Speed Energy Efficient Masking Error Immune Penta MTJ Based TCAM. IEEE Trans Magn. Feb 2015; 51(2): 1–9p.

Gupta MK, Hasan M. Robust High Speed Ternary Magnetic Content Addressable Memory. IEEE Trans Electron Devices. Apr 2015; 62(4): 1163–1169p.

Sharad M, Roy K. Spintronic Switches for Ultralow Energy On-Chip and Interchip Current-Mode Interconnects. IEEE Electron Dev Lett. Aug 2013; 34(8): 1068–1070p.

Atoofian E. Reducing Shift Penalty in Domain Wall Memory through Register Locality. Proceedings of the 2015 International Conference on Compilers, Architecture and Synthesis for Embedded Systems (CASES '15). Oct 4–9, 2015. IEEE VLSI Technology (Conference Proceedings). Piscataway, NJ, USA: IEEE Press; 2016.

Parkin S, et al. Memory on the Racetrack. Nat Nanotechnol. Mar 2015; 10(3): 185–284p.

Zhang Y, Zhao W, et al. Compact Modeling of Perpendicular-Anisotropy CoFeB/MgO Magnetic Tunnel Junctions. IEEE Trans Electron Devices. Mar 2012; 59(3): 169–177p.

Mashhadi SB, Lotfi R. An Offset Cancellation Technique for Comparators Using Body-Voltage Trimming. Int J Analog Integr Circuits Signal Process. Dec 2012; 73(3): 673–682p.

Mashhadi SB, Lotfi R. Analysis and Design of Low Power Double Tail Comparator. IEEE Trans Very Large Scale Integr (VLSI) Syst. Feb 2014; 22(2): 343–352p.

Lin Y, Jyh S, et al. A 5b 800MS/s 2mW Asynchronous Binary-Search ADC in 65nm CMOS. IEEE Int Solid-State Circuits Conf (ISSCC) Dig Tech Papers. Feb 2009; 80–81p.

Zhang Y, Zhao W. Perpendicular-Magnetic-Anisotropy CoFeB Racetrack Memory. J Appl Phys. May 2012; 111(9): 093925–30p.

Iyengar A, Ghosh S. Modeling and Analysis of Domain Wall Dynamics for Robust and Low-Power Embedded Memory. IEEE Design Automation Conference (DAC). Jun 1–5, 2014.




DOI: https://doi.org/10.37591/nanotrends.v20i1.67

Refbacks

  • There are currently no refbacks.


Copyright (c) 2018 Nano Trends-A Journal of Nano Technology & Its Applications